Change instructions and testbench to test nested loops
This commit is contained in:
parent
73d1db32c7
commit
e27c8a4505
|
@ -20,8 +20,7 @@ end instructionMemory;
|
|||
architecture arch of instructionMemory is
|
||||
|
||||
type imem is array(0 to 255) of std_logic_vector(2 downto 0);
|
||||
-- +[+.]
|
||||
signal memory : imem := (b"010", b"110", b"010", b"101", b"111", others => "000");
|
||||
signal memory : imem := (b"010", b"110", b"000", b"010", b"101", b"001", b"111", others => "000");
|
||||
begin
|
||||
-- Process clk_read
|
||||
-- clk_read : process (clk) -- runs only, when clk changed
|
||||
|
|
|
@ -23,7 +23,7 @@ architecture implementation of bfpu_tb is
|
|||
-- output
|
||||
signal debug : std_logic_vector(7 downto 0);
|
||||
signal led : std_logic_vector(7 downto 0);
|
||||
|
||||
|
||||
constant clk_period : time := 10 ns;
|
||||
|
||||
begin
|
||||
|
@ -35,7 +35,7 @@ begin
|
|||
debug => debug,
|
||||
led => led);
|
||||
|
||||
sw <= "00110011";
|
||||
sw <= "00001011";
|
||||
|
||||
-- Clock process definitions
|
||||
clk_process : process
|
||||
|
@ -56,4 +56,4 @@ begin
|
|||
wait;
|
||||
end process;
|
||||
|
||||
end implementation ; -- implementation
|
||||
end implementation ; -- implementation
|
||||
|
|
Loading…
Reference in New Issue