29 lines
684 B
VHDL
29 lines
684 B
VHDL
-- alu.vhd
|
|
-- Created on: Sa 2. Mär 15:48:05 CET 2024
|
|
-- Author(s): Yannick Reiß
|
|
-- Content: ALU entity
|
|
library ieee;
|
|
use ieee.std_logic_1164.all;
|
|
use ieee.numeric_std.all;
|
|
|
|
-- Entity ALU: Calculate result
|
|
entity ALU is
|
|
port(
|
|
operator : in std_logic_vector(3 downto 0);
|
|
operand1 : in std_logic_vector(2 downto 0);
|
|
operand2 : in std_logic_vector(2 downto 0);
|
|
result : out std_logic_vector(7 downto 0)
|
|
);
|
|
end ALU;
|
|
|
|
-- Architecture Logic of ALU: Asynchronous calculation
|
|
architecture Logic of ALU is
|
|
|
|
begin
|
|
-- Process Calculate
|
|
Calculate : process (all) -- runs only, when all changed
|
|
begin
|
|
end process;
|
|
|
|
end Logic;
|