35 lines
1.3 KiB
Plaintext
35 lines
1.3 KiB
Plaintext
#-----------------------------------------------------------
|
|
# Vivado v2023.1 (64-bit)
|
|
# SW Build 3865809 on Sun May 7 15:04:56 MDT 2023
|
|
# IP Build 3864474 on Sun May 7 20:36:21 MDT 2023
|
|
# SharedData Build 3865790 on Sun May 07 13:33:03 MDT 2023
|
|
# Start of session at: Thu Oct 5 15:20:21 2023
|
|
# Process ID: 33785
|
|
# Current directory: /home/nick/Documents/Programming/Brainfuck/BPFU/bfpcompiler
|
|
# Command line: vivado
|
|
# Log file: /home/nick/Documents/Programming/Brainfuck/BPFU/bfpcompiler/vivado.log
|
|
# Journal file: /home/nick/Documents/Programming/Brainfuck/BPFU/bfpcompiler/vivado.jou
|
|
# Running On: lilith, OS: Linux, CPU Frequency: 1687.184 MHz, CPU Physical cores: 4, Host memory: 8201 MB
|
|
#-----------------------------------------------------------
|
|
start_gui
|
|
open_project /home/nick/brainfuck/brainfuck.xpr
|
|
update_compile_order -fileset sources_1
|
|
reset_run synth_1
|
|
launch_runs impl_1 -to_step write_bitstream -jobs 4
|
|
wait_on_run impl_1
|
|
reset_run synth_1
|
|
launch_runs synth_1 -jobs 4
|
|
wait_on_run synth_1
|
|
reset_run synth_1
|
|
launch_runs impl_1 -to_step write_bitstream -jobs 4
|
|
wait_on_run impl_1
|
|
reset_run synth_1
|
|
launch_runs synth_1 -jobs 4
|
|
wait_on_run synth_1
|
|
reset_run synth_1
|
|
launch_runs synth_1 -jobs 4
|
|
wait_on_run synth_1
|
|
synth_design -rtl -rtl_skip_mlo -name rtl_1
|
|
launch_runs impl_1 -jobs 4
|
|
wait_on_run impl_1
|