Reset in program counter matched with clock
This commit is contained in:
parent
83c6632415
commit
8b5b3095a0
|
@ -327,11 +327,10 @@ begin
|
|||
when stEXEC => s_cycle_cnt <= stWB;
|
||||
when others => s_cycle_cnt <= stIF;
|
||||
end case;
|
||||
else
|
||||
end if;
|
||||
if falling_edge(reset) then
|
||||
s_cycle_cnt <= stIF;
|
||||
end if;
|
||||
end if;
|
||||
end process pc_cycle_control;
|
||||
|
||||
end implementation;
|
||||
|
|
12
src/pc.vhd
12
src/pc.vhd
|
@ -26,8 +26,11 @@ architecture pro_count of pc is
|
|||
signal addr_out : ram_addr_t := (others => '0');
|
||||
signal addr_out_plus : ram_addr_t := (others => '0');
|
||||
begin
|
||||
process (clk)
|
||||
process (clk, reset)
|
||||
begin
|
||||
if falling_edge(reset) then
|
||||
addr_out <= (others => '0');
|
||||
else
|
||||
if rising_edge(clk) then
|
||||
if en_pc = "1" then
|
||||
-- count
|
||||
|
@ -39,13 +42,6 @@ begin
|
|||
end if;
|
||||
end if;
|
||||
end if;
|
||||
end process;
|
||||
|
||||
process (reset)
|
||||
begin
|
||||
if falling_edge(reset) then
|
||||
addr_out <= (others => '0');
|
||||
addr_out_plus <= (others => '0');
|
||||
end if;
|
||||
end process;
|
||||
|
||||
|
|
Loading…
Reference in New Issue